image.png

    1. `timescale 1ns/1ns
    2. module sequence_detect(
    3. input clk,
    4. input rst_n,
    5. input data,
    6. output reg match,
    7. output reg not_match
    8. );
    9. endmodule